Brise

모듈러 연산 시 음수가 나오는 경우 본문

프로그램/C,C++

모듈러 연산 시 음수가 나오는 경우

naudhizb 2020. 3. 15. 13:54
반응형

C언어에서 모듈러(modular) 연산의 기호는 %이다. 

쉽게 생각하면 나머지 연산이지만, 기대와 다른 값이 나오는 경우가 있다. 


만약 음수를 양수로 나눈 나머지나, 양수를 음수로 나눈 나머지의 경우이다. 


C에서는 이 부분에 대해서 컴파일러가 알아서 처리하도록 규정하고 있었지만, 

표준이 개정되면서 그 부분이 개선되었다. 


C = A % B에서

C의 부호는 A와 같다. 


만약 -100을 9로 나눈 나머지를 구한다면, -1 이 나오는 식이다. 


관련 자료 : http://ohyecloudy.com/pnotes/archives/1704/




반응형
Comments